Skip to content

support nextpnr instead of deprecated arachne-pnr #23

Open
@jrincayc

Description

@jrincayc

As the arachne-pnr github says: Arachne-pnr is not maintained anymore; use nextpnr instead, which is a complete functional replacement with major improvements.

The commands to use nextpnr-ice40 with the icestorm_template are:

yosys -p 'synth_ice40 -top top -blif top.blif -json top.json' top.v
nextpnr-ice40 --lp8k --package cm81   --json top.json --asc  top.asc --pcf  pins.pcf
icetime -d lp8k -mtr top.rpt top.asc
icepack top.asc top.bin
tinyprog -p top.bin

Metadata

Metadata

Assignees

No one assigned

    Labels

    No labels
    No labels

    Type

    No type

    Projects

    No projects

    Milestone

    No milestone

    Relationships

    None yet

    Development

    No branches or pull requests

    Issue actions